Solutions for 2.5D and 3D Test Tessent Support for Testing 3D-ICs
2Pages

{{requestButtons}}

Catalog excerpts

Solutions for 2.5D and 3D Test  Tessent Support for Testing 3D-ICs - 1

Tessent Support for Testing 3D-ICs Silicon Test and Yield Analysis D A T A S H E E T FEATURES: ■■ Tessent 2.5D support included in the TSMC Chip on Wafer on Substrate (CoWoS™) Reference Flow. ■■ Advanced contactless IO test capabilities improve wafer-level test quality necessary for KGD. The Tessent suite of products provide solutions for key 3D IC test challenges. Challenges in Testing 3D IC Designs The next phase of semiconductor designs will include the adoption of 3D IC packages. These vertical stacks of multiple bare die connected directly with through-silicon vias (TSVs) present three new test challenges to the industry: ■■ Managing the escape rate of defective die at wafer to meet target postpackaging yield. ■■ Testing stacked memory configurations. ■■ Testing stacked logic configurations. ■■ Comprehensive Solutions for Known Good Die Achieving acceptable 3D IC package yield levels requires high-quality and costeffective test at wafer sort. The Tessent® family of products provide innovative capabilities that address the known-good-die (KGD) testing challenges. Probing limitations during wafer test typically result in limited IO testing. This is having a growing effect on bare die quality as the count and operating frequencies of IOs continue to increase. The Tessent Contactless IO test solution eliminates the wafer probing constraints while providing comprehensive test of static and leakage-related IO defects. In addition, Tessent SerdesTest also provides for the contactless test of high-speed SerDes IOs. Highly accurate testing of key parameters such as jitter and duty cycle are achieved using a fully embedded approach. Significant reduction in die defect-per-million (DPM) levels can also be achieved with the Tessent Cell-Aware Test solution. Cell-Aware Test is a transistor-level test methodology that overcomes the limits of traditional stuck-at and transition fault models and associated test patterns by targeting specific shorts, opens, and transistor defects internal to each standard cell. Testing Stacked Memories Tessent MemoryBIST supports the test and diagnosis of memory die stacked on top of a silicon interposer or directly on top of a logic die. A BIST engine is integrated into the logic die and communicates to the TSV-based memory bus ■■ New transistor-level ATPG for higher quality KGD testing. ■■ Programmable external memory BIST enables thorough at-speed testing of memory-to-logic TSVs. ■■ Architecture and flow for support of boundary scan based test of logic-to-logic TSV connections. BENEFITS: ■■ Test support for both 2.5D and 3D ICs ■■ Improve yield of stacked die with high-quality test of single die components. ■■ High-quality test of stacked DRAM die and TSV connections. ■■ Test support of TSV connections between stacked logic die. ■■ Plug-and-play reuse of scan patterns from the die level to any position in the 3D stack. ■■ Access to Mentor Graphics award- winning Consulting Services.

Open the catalog to page 1
Solutions for 2.5D and 3D Test  Tessent Support for Testing 3D-ICs - 2

that connects the logic die to the memories. The BIST engine provides comprehensive at-speed testing of both the memory die and the memory bus connections. The Tessent BIST engine communicates to a memory bus rather than directly to individual memories. This lets the BIST engine test the functional interface logic and TSVs. The 3D memory BIST solution is run-time programmable. Using only the standard IEEE 1149.1 JTAG test interface, the BIST engine can be programmed in silicon for different memory counts, types, and sizes. Because the BIST engine is embedded into the logic die and can't be...

Open the catalog to page 2

All SIEMENS EDA catalogs and technical brochures

Archived catalogs

  1. Calibre LFD

    3 Pages

  2. Oasys-RTL

    3 Pages

  3. Olympus-SoC

    5 Pages

  4. ICanalyst

    4 Pages

  5. Calibre RVE

    3 Pages

  6. Calibre LFD

    3 Pages

  7. ReqTracer

    2 Pages

  8. HDL Designer

    2 Pages

  9. Nucleus RTOS

    2 Pages

  10. ReadyStart?

    2 Pages

  11. Inflexion UI

    2 Pages

  12. TransDesign

    6 Pages

  13. TransCable

    2 Pages

  14. TranSACT

    2 Pages

  15. TransOVM

    2 Pages

  16. TransBridge

    2 Pages

  17. Volcano? VSA

    2 Pages

  18. Calibre xRC

    3 Pages

  19. ces-ds

    2 Pages

  20. flovent

    12 Pages

  21. flotherm

    8 Pages

  22. floefd

    12 Pages

  23. Calibre xL

    2 Pages

  24. ADiT

    2 Pages

  25. Questa ADMS

    7 Pages

  26. RC250

    2 Pages

  27. RC340

    2 Pages

  28. Vista

    4 Pages

  29. Nucleus

    2 Pages

  30. PADS Suites

    3 Pages

  31. AccuSim II

    2 Pages

  32. AccuParts™

    1 Pages

  33. FloVENT

    12 Pages

  34. FloTHERM PCB

    2 Pages

  35. Olympus-SoC

    2 Pages

  36. CHS

    4 Pages

  37. VeSys

    2 Pages