Calibre RealTime
2Pages

{{requestButtons}}

Catalog excerpts

Calibre RealTime - 1

Calibre RealTime Calibre signoff-quality verification on demand in custom/AMS design flows DATASHEET C_eII ^iew Create Eerl iviCeii Options Query E[P Verify Placer pouter Winder DF '. The Calibre RealTime platform completely changes the traditional layout-verification-simulation loop by bringing signoff-quality verification into the design creation process. Signoff-Quality DRC during Design Calibre® RealTime enables in-design signoff-quality Calibre design rule check- ing (DRC) for custom and analog/mixed-signal design flows, improving both design speed and the quality of results by providing immediate feedback on design rule violations and recommended rule compliance. With this information readily available during layout, designers can optimize designs for increased performance without incurring numerous time-consuming design-verification iterations. By providing the same automated check capabilities available to digital design checking, Calibre RealTime makes it easier for custom IC designers to produce high-quality designs while still meeting production schedules. Now, no mat- ter how many drawn layers a design contains, or how many checks there are per layer, or even how complex those checks are, design groups working at the most advanced nodes can get to DRC-clean quickly and with Calibre confidence. When integrated into a custom IC design and layout system, Calibre RealTime provides direct calls to Calibre analysis engines running foundry-qualified Calibre rule decks. These Calibre engines perform fast, incremental checking in the vicin- ity of shapes being edited, providing nearly instantaneous feedback on design rule violations, as well as potential systematic variation susceptibility as measured by recommended rule compliance. • Provides instantaneous feed- lations during layout creation • Reduces verification iterations and overall design time • Allows interactive editing of custom/AMS designs based on signoff-quality rule decks • Enables design optimization during layout creation • Integral part of design creation • Increases designer productivity Major Features • Uses standard foundry-qualified Calibre rule decks • Built-in error review toolbar • Automatically runs Calibre nmDRC whenever edits are • OpenAccess run-time model enables integration with most custom design environments • In-memory checking ensures best performance • User-defined custom filters tied • Complements existing built-in

Open the catalog to page 1
Calibre RealTime - 2

With its ability to perform all checks that can be run with Calibre DRC, including recommended rules, pattern matching, equation-based DRC, and double patterning, Calibre RealTime lets custom IC designers correct and adjust their designs during the layout process to produce a design that is DRC-clean, resistant to manufacturing variability issues, and optimized for the most desirable performance and operational characteristics. they are creating their design. At the same time, because it is integrated in addition to the built-in checker, users have the freedom to use either or both checking...

Open the catalog to page 2

All Siemens EDA catalogs and technical brochures

  1. Calibre LFD

    3 Pages

  2. Oasys-RTL

    3 Pages

  3. Olympus-SoC

    5 Pages

  4. ICanalyst

    4 Pages

  5. Calibre RVE

    3 Pages

  6. Calibre LFD

    3 Pages

  7. ReqTracer

    2 Pages

  8. HDL Designer

    2 Pages

  9. Nucleus RTOS

    2 Pages

  10. ReadyStart?

    2 Pages

  11. Inflexion UI

    2 Pages

  12. TransDesign

    6 Pages

  13. TransCable

    2 Pages

  14. TranSACT

    2 Pages

  15. TransOVM

    2 Pages

  16. TransBridge

    2 Pages

  17. Volcano? VSA

    2 Pages

  18. Calibre xRC

    3 Pages

  19. ces-ds

    2 Pages

  20. flovent

    12 Pages

  21. flotherm

    8 Pages

  22. floefd

    12 Pages

  23. Calibre xL

    2 Pages

  24. ADiT

    2 Pages

  25. Questa ADMS

    7 Pages

  26. RC250

    2 Pages

  27. RC340

    2 Pages

  28. Nucleus

    2 Pages

  29. PADS Suites

    3 Pages

  30. AccuSim II

    2 Pages

  31. AccuParts™

    1 Pages

  32. FloVENT

    12 Pages

  33. FloTHERM PCB

    2 Pages

  34. Olympus-SoC

    2 Pages

  35. CHS

    4 Pages

  36. VeSys

    2 Pages

Archived catalogs

  1. Vista

    4 Pages