Calibre nmDRC
4Pages

{{requestButtons}}

Catalog excerpts

Calibre nmDRC - 1

Your Designs Deserve Calibre Confidence Physical Verification Calibre nmDRC D A T A S H E E T FEATURES: ■■ Used by every major foundry for process development and validation ■■ Fast, scalable, flexible resource usage ■■ Direct access to Milkyway, LEF/ DEF, OpenAccess, OASIS, and GDSII design databases ■■ Calibre eqDRC provides precise characterizations and simplifies debugging of complex multivariate design issues Calibre nmDRC provides fast, sophisticated, and proven technology that enables the fastest and most accurate physical verification of the most challenging designs at any node. Calibre nmDRC - Right From the Start To ensure acceptable design performance in new process technologies, foundries iteratively explore design constraints and manufacturability to define the design rules ultimately used by their customers. The physical verification tool used by every major foundry to develop these design rules is Calibre® nmDRC. What that means to you is that Calibre rule decks are proven long before you need them. When performance and time to market control potential profitability, using Calibre nmDRC for your physical verification can mean the difference between market success and failure. Calibre nmDRC is continually evolving to meet the demands of shrinking geometries and complex manufacturing methodologies. When designers need new ways to assess the quality of their designs in light of more complex process constraints and larger process variations, Calibre nmDRC delivers the new capabilities and enhanced performance that provide comprehensive analysis capabilities while minimizing cycle time. With Calibre nmDRC, designers can confidently manage physical verification for every design, at every node. Calibre nmDRC Runtime and Resource Usage With increasing size and complexity of designs, and the explosion of new rule checks required for the next technology node, the need for faster turnaroundtime is critical. Calibre nmDRC delivers the fastest runtimes in the industry, whether you’re using one CPU or dozens, providing you with the flexibility you need to optimize your resource allocation and usage while achieving your desired turnaround time. w w w. m e nto r. co m ■■ Calibre Fast XOR enables fast, accurate LVL comparisons ■■ Comprehensive fill support, including fill back annotation to Milkyway, LEF/DEF, OpenAccess, OASIS, and GDSII design databases. ■■ Pattern matching support ■■ Double patterning layout analysis support BENEFITS: ■■ Comprehensive, accurate, and proven signoff physical verification for advanced technology nodes and designs at all major foundries ■■ Industry-leading speed minimizes runtime and resource usage ■■ Enhanced DRC debugging provides the fastest results with the highest accuracy ■■ Extensive innovative and sophisticated capabilities support the most complex design requirements at every node ■■ Earliest rule deck availability for new process technologies supports fast production of leading edge designs

Open the catalog to page 1
Calibre nmDRC - 2

Average Speedup Relative to Same Hardware The Calibre engine is always evolving to ensure Calibre users the fastest runtimes in the industry. Calibre nmDRC performs equally well in either shared memory or distributed processing configurations, and is completely compatible with load balancing and grid computing software. Verification jobs can be run in parallel to support multiple designers completing subsections of a design on the same hardware, then brought together for full chip verification. Advanced Checking Capabilities Calibre nmDRC is constantly enhanced to provide new and more...

Open the catalog to page 2
Calibre nmDRC - 3

3 provides tapeout fill shapes created by Calibre during place and route to ensure accurate extraction and simulation results with optimum runtime and output file size. Calibre nmDRC supports the use of Calibre SmartFill techniques, such as the creation of active circuit fill cells. Double Patterning Calibre nmDRC provides the technology needed to not only assess layouts for double patterning decomposition feasibility, but also to identify the adjustments needed to correct non-compliant design configurations. design database, and minimizes the disk space needed to hold the GDSII data for...

Open the catalog to page 3
Calibre nmDRC - 4

Calibre Commitment to Innovation Calibre leads the way for one powerful reason—our constant and ongoing commitment to innovation. We know that when you're ready to move to the next node, your tools need to be ready as well. You need the confidence that comes from knowing we've been working far in advance to identify the challenges and develop effective, proven solutions. Our reputation depends on it, and we depend on our reputation. At every node, Calibre has provided, and will continue to provide, pioneering technologies and tools that ensure you can continue to deliver your products on...

Open the catalog to page 4

All Siemens EDA catalogs and technical brochures

  1. Calibre LFD

    3 Pages

  2. Oasys-RTL

    3 Pages

  3. Olympus-SoC

    5 Pages

  4. ICanalyst

    4 Pages

  5. Calibre RVE

    3 Pages

  6. Calibre LFD

    3 Pages

  7. ReqTracer

    2 Pages

  8. HDL Designer

    2 Pages

  9. Nucleus RTOS

    2 Pages

  10. ReadyStart?

    2 Pages

  11. Inflexion UI

    2 Pages

  12. TransDesign

    6 Pages

  13. TransCable

    2 Pages

  14. TranSACT

    2 Pages

  15. TransOVM

    2 Pages

  16. TransBridge

    2 Pages

  17. Volcano? VSA

    2 Pages

  18. Calibre xRC

    3 Pages

  19. ces-ds

    2 Pages

  20. flovent

    12 Pages

  21. flotherm

    8 Pages

  22. floefd

    12 Pages

  23. Calibre xL

    2 Pages

  24. ADiT

    2 Pages

  25. Questa ADMS

    7 Pages

  26. RC250

    2 Pages

  27. RC340

    2 Pages

  28. Nucleus

    2 Pages

  29. PADS Suites

    3 Pages

  30. AccuSim II

    2 Pages

  31. AccuParts™

    1 Pages

  32. FloVENT

    12 Pages

  33. FloTHERM PCB

    2 Pages

  34. Olympus-SoC

    2 Pages

  35. CHS

    4 Pages

  36. VeSys

    2 Pages

Archived catalogs

  1. Vista

    4 Pages